OldComp.cz

Komunitní diskuzní fórum pro fanoušky historických počítačů


Právě je 28.03.2024, 12:22

Všechny časy jsou v UTC + 1 hodina [ Letní čas ]




Odeslat nové téma Odpovědět na téma  [ Příspěvků: 17 ]  Přejít na stránku 1, 2  Další
Autor Zpráva
 Předmět příspěvku: MiSTer Terasic DE10-Nano
PříspěvekNapsal: 19.01.2019, 17:43 
Offline
Radil

Registrován: 24.12.2014, 16:11
Příspěvky: 424
Has thanked: 31 times
Been thanked: 100 times
Obrázek

https://github.com/MiSTer-devel/Main_MiSTer/wiki

Kdo používáte?


Nahoru
 Profil  
 
 Předmět příspěvku: Re: MiSTer Terasic DE10-Nano
PříspěvekNapsal: 19.01.2019, 18:04 
Offline
Pan Štábní

Registrován: 11.11.2013, 10:29
Příspěvky: 1198
Has thanked: 359 times
Been thanked: 304 times
V podstate ja, jen to slovo 'pouzivat' je trochu prehnane. Proste tuto sestavu vlastnim a zkousel jsem. Jeste stale ji mam na pracovnim stoje, ale nejak se nemuzu dostat/dokopat k tomu, abych ji pouzival.

_________________
Sharp MZ-800++, MZ-1500++, MZ-2500++, SM-B-80T, MK-14_replica, HP-85, ZX-80+replica, ZX81, ZX-Spectrum+replica++, PMI-80+replica, SAM coupe++, PMD-85-2A+3, Didaktik-M, SORD-M5, TI-57, TI-59+PC-100, TI99/4A, ZetaV2+ppp, ZX-uno, Petr


Nahoru
 Profil  
 
 Předmět příspěvku: Re: MiSTer Terasic DE10-Nano
PříspěvekNapsal: 19.01.2019, 18:39 
Offline
Pan Štábní
Uživatelský avatar

Registrován: 14.05.2013, 19:10
Příspěvky: 1486
Bydliště: Kurim
Has thanked: 828 times
Been thanked: 577 times
Ja jo ;-) http://www.8bity.cz/2018/mister-fpga-computer/ Libi se mi, ze se to porad posouva. Je to kazdy den lepsi a lepsi, zacina na tom delat vice lidi.

Kdyby nekdo chtel, tak myslim, ze jeste jednu sadu desek + soucastek, co je nutno koupit v Cine mam k dispozici.

_________________
http://www.8bity.cz


Nahoru
 Profil  
 
 Předmět příspěvku: Re: MiSTer Terasic DE10-Nano
PříspěvekNapsal: 19.01.2019, 18:53 
Offline
Radil

Registrován: 24.12.2014, 16:11
Příspěvky: 424
Has thanked: 31 times
Been thanked: 100 times
Přemýšlím, že bych zkusil spáchat Sord M5. Videogenerátor stejný jako v MSX1, sound generátor existuje ve VHDL ale nikde nemůžu najít Z80 CTC a jsem líný ho psát.

Dokázal byste někdo vykreslit zapojení matice klávesnice?


Nahoru
 Profil  
 
 Předmět příspěvku: Re: MiSTer Terasic DE10-Nano
PříspěvekNapsal: 20.01.2019, 17:09 
Offline
Radil

Registrován: 14.10.2013, 23:12
Příspěvky: 342
Has thanked: 261 times
Been thanked: 25 times
taky to mam a libi se mi to :-)


Nahoru
 Profil  
 
 Předmět příspěvku: Re: MiSTer Terasic DE10-Nano
PříspěvekNapsal: 20.01.2019, 18:42 
Offline
Pan Generální
Uživatelský avatar

Registrován: 18.06.2013, 20:26
Příspěvky: 2487
Has thanked: 115 times
Been thanked: 424 times
JohnBlbec píše:
taky to mam a libi se mi to :-)
A na co to používáš?

_________________
"Je lepší rozsvítit byť jen malou svíčku, než jen proklínat temnotu." (Konfucius)

www.zxsparrow.com


Nahoru
 Profil  
 
 Předmět příspěvku: Re: MiSTer Terasic DE10-Nano
PříspěvekNapsal: 22.01.2019, 11:38 
Offline
Pan Štábní
Uživatelský avatar

Registrován: 12.05.2013, 19:40
Příspěvky: 1920
Bydliště: Olomouc
Has thanked: 895 times
Been thanked: 693 times
Ja jsem zatim zustal u MISTu, tohle vypada dobre, ale uz to chce aktivni chlazeni a navic v dobe co jsem kupoval MIST na to nebylo Atari ST

_________________
www.krupkaj.cz ~ www.atariportal.cz ~ jaysoft.atari.org
:busybee:


Nahoru
 Profil  
 
 Předmět příspěvku: Re: MiSTer Terasic DE10-Nano
PříspěvekNapsal: 22.01.2019, 11:45 
Offline
Radil

Registrován: 24.12.2014, 16:11
Příspěvky: 424
Has thanked: 31 times
Been thanked: 100 times
Martin8bity píše:
Ja jo ;-) http://www.8bity.cz/2018/mister-fpga-computer/ Libi se mi, ze se to porad posouva. Je to kazdy den lepsi a lepsi, zacina na tom delat vice lidi.


Přemýšlím, že bych zkusil spáchat Sord M5. Videogenerátor stejný jako v MSX1, sound generátor existuje ve VHDL ale nikde nemůžu najít Z80 CTC a jsem líný ho psát.

Dokázal bys vykreslit zapojení matice klávesnice?


Nahoru
 Profil  
 
 Předmět příspěvku: Re: MiSTer Terasic DE10-Nano
PříspěvekNapsal: 22.01.2019, 12:06 
Offline
Pan Štábní

Registrován: 12.05.2013, 22:24
Příspěvky: 1508
Bydliště: u Prahy
Has thanked: 44 times
Been thanked: 380 times
omikron píše:
[Dokázal bys vykreslit zapojení matice klávesnice?


Monitor handling manual, strana 10-2
http://m5.arigato.cz/monitor_handling.html


Nahoru
 Profil  
 
 Předmět příspěvku: Re: MiSTer Terasic DE10-Nano
PříspěvekNapsal: 05.03.2019, 21:43 
Offline
Radil

Registrován: 24.12.2014, 16:11
Příspěvky: 424
Has thanked: 31 times
Been thanked: 100 times
Zeptám se takhle: Programujete na něm někdo?


Nahoru
 Profil  
 
 Předmět příspěvku: Re: MiSTer Terasic DE10-Nano
PříspěvekNapsal: 06.03.2019, 00:28 
Offline
Pan Štábní
Uživatelský avatar

Registrován: 14.05.2013, 19:10
Příspěvky: 1486
Bydliště: Kurim
Has thanked: 828 times
Been thanked: 577 times
Jestli myslis na MiSTer, tak jsem to zkousel, ale dost mne odradila ta straslive dlouha synteza cehokoli. A jelikoz s VHDL jsem zacatecnik, tak to pro mne zatim neni dobra platforma.

_________________
http://www.8bity.cz


Nahoru
 Profil  
 
 Předmět příspěvku: Re: MiSTer Terasic DE10-Nano
PříspěvekNapsal: 01.11.2021, 09:45 
Offline
Radil

Registrován: 24.12.2014, 16:11
Příspěvky: 424
Has thanked: 31 times
Been thanked: 100 times
Poslední dobou mi nějak nechodí ZX Spectrum core. v games/Spectrum je nahraný rom soubor z releases a jen černá obrazovka. Chodí vám?


Nahoru
 Profil  
 
 Předmět příspěvku: Re: MiSTer Terasic DE10-Nano
PříspěvekNapsal: 01.11.2021, 11:50 
Offline
Profík

Registrován: 27.07.2013, 13:24
Příspěvky: 903
Has thanked: 25 times
Been thanked: 125 times
Programuju. Respektive snažím se.

_________________
Atari 600XL, 800XL/XE, 130XE, ST, STE, MegaST, 7800, JaguarCD, Commodore C64, Amiga 500/Plus, 1200, CDTV, Sinclair QL + GC, Sharp MZ800, Sord M5, CPC 6128, Spectravideo SVI X'Press, Sony HB-750, Philips CDi 370, Ohio Scientific Challenger, Eureka.


Nahoru
 Profil  
 
 Předmět příspěvku: Re: MiSTer Terasic DE10-Nano
PříspěvekNapsal: 01.11.2021, 11:53 
Offline
Profík

Registrován: 27.07.2013, 13:24
Příspěvky: 903
Has thanked: 25 times
Been thanked: 125 times
Martin8bity píše:
Jestli myslis na MiSTer, tak jsem to zkousel, ale dost mne odradila ta straslive dlouha synteza cehokoli.


Ten quartus je fakt dílo. Člověk změní jeden řádek a pak půl hodiny čeká, než se to přeloží. Zlatej Xilinx Ise.

_________________
Atari 600XL, 800XL/XE, 130XE, ST, STE, MegaST, 7800, JaguarCD, Commodore C64, Amiga 500/Plus, 1200, CDTV, Sinclair QL + GC, Sharp MZ800, Sord M5, CPC 6128, Spectravideo SVI X'Press, Sony HB-750, Philips CDi 370, Ohio Scientific Challenger, Eureka.


Nahoru
 Profil  
 
 Předmět příspěvku: Re: MiSTer Terasic DE10-Nano
PříspěvekNapsal: 01.11.2021, 16:41 
Offline
Pan Generální

Registrován: 19.07.2013, 15:54
Příspěvky: 2723
Has thanked: 144 times
Been thanked: 422 times
Modul samzrejme jako kazdy pravoverny Sharpista mam ale nepouzivam ho. Zacal jsem tam delat nase GDG ale prave ta slozitost obvodu pro zacatecnika udela z desky peklo. Jak uz se tu psalo preklad trva hodne dlouho. Proto jsem presel radeji na Artix-7. Preklad take trva dlouho ale je to lepe cele popsane. Ted je to probiralo na Bytefestu. VHDL je pekne ale je to vlastne zapis jako v Pascalu, je to strasne ukecane. Proto je lepsi pouzit Verilog a kdyz to jde tak radeji System Verilog - ten jeste navic ma kontrolu hrubych chyb programatora. Jinak "programator" ve smyslu Verilogu je vlastne "pajec" ve smyslu delani obvodu pomoci realnych obvodu. On urcuje kam bude co propojene.

V jinem vlakne jsem psal o tom jak se snazim urychlit preklad. Zapomente na vice procesoru. Jak Altera, tak Xilinx realne neumi vyuzivat vice jader. Hlavni nejdulezitejsi preklad stejne jede v jednom vlakne. Reseni je co nejlepsi (nejrychlejsi) procesor. Urcite ne AMD ale I9. SSD disk na zakladni desce je nutnost. Pameti neni potreba moc. Ale urcite musite mit 4GB (doporucuji min 16GB). 2GB pocitejte bude chtit jen jeden otevreny projekt.

Dalsi velmi dobra rada je nepouzivat moderni obvody ale prejit na neco starsiho ale stale dobreho. To je duvod proc ted si hraji se Spartan-6. Stale je to hodne dobre ale preklad trva jen okamzik. Take je dobre projekt rozdelit na vice casti a ty dat do vice FPGA a pomoci seriove linky prenaset data. Pak se meni jen jedna cast celeho projektu a preklad klidne muze trvat o jeden rad rychleji.

Jinak i pipelining velmi zrychli preklad. On totiz prekladaci neda moc moznosti na optimalizaci a tak to ma rychle udelane.


Nahoru
 Profil  
 
Zobrazit příspěvky za předchozí:  Seřadit podle  
Odeslat nové téma Odpovědět na téma  [ Příspěvků: 17 ]  Přejít na stránku 1, 2  Další

Všechny časy jsou v UTC + 1 hodina [ Letní čas ]


Kdo je online

Uživatelé procházející toto fórum: Žádní registrovaní uživatelé a 1 návštěvník


Nemůžete zakládat nová témata v tomto fóru
Nemůžete odpovídat v tomto fóru
Nemůžete upravovat své příspěvky v tomto fóru
Nemůžete mazat své příspěvky v tomto fóru
Nemůžete přikládat soubory v tomto fóru

Hledat:
Přejít na:  
Založeno na phpBB® Forum Software © phpBB Group
Český překlad – phpBB.cz